hdl

listen to the pronunciation of hdl
Английский Язык - Турецкий язык
hdl
Английский Язык - Английский Язык
high-density lipoprotein(s)
high-density lipoprotein
Hardware description language Hardware-description-language
Handle
Also known as "good" cholesterol, HDL's are large, dense, protein-fat particles that circulate in the blood picking up already used and unused cholesterol and taking them back to the liver as part of a recycling process Higher levels of HDL's are associated with a lower risk of cardiovascular disease because the cholesterol is cleared more readily from the blood
Hardware Description Language Used by integrated circuit designers to describe what a semiconductor will do through a particular language HDL allows designers to write the necessary specifications for their chip design The two main HDL languages used today are Verilog and VHDL
a lipoprotein that transports cholesterol in the blood; composed of a high proportion of protein and relatively little cholesterol; high levels are thought to be associated with decreased risk of coronary heart disease and atherosclerosis
High density lipoprotein or good cholesterol
– high density lipoprotein, also known as “good cholesterol,” lowers high cholesterol levels by transporting cholesterol to the liver to be eliminated
high density lipoproteins HDL transport cholesterol from the tissues to the liver where it can be eliminated in bile HDL-cholesterol is considered good cholesterol, because higher blood levels of HDL-cholesterol are associated with lower risk of heart disease
-see Lipoprotein
Hardware Description Language; A human and computer-readable language used to describe the functions and/or behavior of an integrated circuit or system which can be used to carry out computer simulation or automatically synthesize the actual circuits necessary to implement the specified functions
high density lipoprotein - cholesterol I
Hardware Description Language Designers use HDL to describe what a semiconductor will do through a particular language HDL allows designers to write the necessary specifications for their chip design The two main HDL languages used today are Verilog and VHDL
High-density lipoprotein, known as the "good cholesterol" because it carries away the sticky (LDL) cholesterol
high density lipoprotein cholesterol, the "good" cholesterol thought to have a cleansing effect in the bloodstream
High-density lipoprotein is the good cholesterol that carries blood cholesterol back to the liver where it can be eliminated
About one-third to one-fourth of blood cholesterol is carried by high-density lipoprotein or HDL Medical experts think HDL tends to carry cholesterol away from the arteries and back to the liver, where it's passed from the body Some experts believe HDL removes excess cholesterol from atherosclerotic plaques and thus slows their growth HDL cholesterol is known as "good" cholesterol because a high level of HDL seems to protect against heart attack The opposite is also true: A low HDL level (less than 35 mg/dL) indicates a greater risk
Hardware Description Language A kind of language used for the conceptual design of integrated circuits Examples are VHDL and Verilog
hdl cholesterol
the cholesterol in high-density lipoproteins; the `good' cholesterol; a high level in the blood is thought to lower the risk of coronary artery disease
Турецкий язык - Английский Язык
hdl
hdl

    Произношение

    Этимология

    [ "Ach-(")dE-'el ] (noun.) circa 1965. high-density lipoprotein.
Избранное